Interested Article - Icarus Verilog

Icarus Verilog — компилятор языка описания аппаратуры Verilog . Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx .

Icarus Verilog доступен для OpenSolaris x86 , FreeBSD , Linux , AIX , Windows и Mac OS X . Выпускается под лицензией GNU General Public License .

Версии Icarus 0.9 включает iverilog компилятор Verilog с препроцессором и плагинами и виртуальную машину vvp для симуляции. vvp поддерживает интерфейс Verilog VPI (Verilog PLI 2.0) для интеграции с программами на языке Си.

В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog.

См. также

Примечания

  1. . Дата обращения: 19 августа 2015. 2 июня 2013 года.
  2. от 15 марта 2012 на Wayback Machine 05/26/04

Ссылки

  • от 7 декабря 2020 на Wayback Machine (англ.)
  • — для OpenSolaris и SuSE
  • // SOCCentral
  • от 9 мая 2012 на Wayback Machine , By Michael Baxter // Linux Journal Issue #82, Feb 2001
  • от 16 сентября 2012 на Wayback Machine , Stephen Williams and Michael Baxter // Linux Journal, Issue #99, July 2002
Источник —

Same as Icarus Verilog